Dobrica Pavlinušić's random unstructured stuff
Colorlight 5A-75B: Revision 5
{image: cl-5a-75b-v70-front-800.jpg}

{toc: }

* Lattice ECP5 `LFE5U-25F-6BG256C` ("product page"<https://www.latticesemi.com/Products/FPGAandCPLD/ECP5>)
* Winbond `25Q32JVSIQ`, 32 Mbits SPI flash ("datasheet"<https://github.com/q3k/chubby75/blob/master/5a-75b/datasheets/w25q32jv_spi_revc_08302016.pdf>)
* 2x Broadcom `B50612D` Gigabit Ethernet PHYs ("datasheet"<https://github.com/q3k/chubby75/blob/master/5a-75b/datasheets/B50610-DS07-RDS.pdf>)
* 2x ESMT `M12L16161A-5T` 1M x 16bit 200MHz SDRAMs (organized as 1M x 32bit) ("datasheet"<https://github.com/q3k/chubby75/blob/master/5a-75b/datasheets/M12L16161A.pdf>)
* 12x `74HC245T` Octal Bidirectional Transceiver (used for level translation to 5V)

^ links

* https://hackaday.com/2020/01/24/new-part-day-led-driver-is-fpga-dev-board-in-disguise/
* https://github.com/q3k/chubby75/tree/master/5a-75b
** upstream: https://github.com/tomverbeure/chubby75/tree/5a-75b/5a-75b
** upstream for v7 board: https://github.com/miek/chubby75/blob/5a-75b-v7_pinout/5a-75b/hardware_V7.0.md
* send data to original fpga image: https://github.com/FalconChristmas/fpp/blob/master/src/channeloutput/ColorLight-5a-75.cpp